Please note that the copyright of some articles may not be owned by me. Please comply with the respective owner's copyright in such cases. Here are links to some bibliography servers lists ;

 

ACM author profile page

DBLP

Google Scholar

 

The BibTeX entries can be found in this Akash Kumar.bib file (last updated in 2015). The list of publications is grouped in the following categories:

 

Patents

Theses

Books and Book Chapters

Journal Papers

Conference Papers

Reports

 

  1. Pipelined Reed-Solomon Decoder

    International Application Number: PCT/IB2006/054745, filed on Dec 11, 2006.
    Europe Patent Application Number: 05111971.7, filed on Dec 12, 2005.

  2. Method And Apparatus For Syndrome Calculation

    International Application Number: PCT/IB2006/052151, filed on June 28, 2006.
    Europe Patent Application Number: 05105878.2, filed on June 30, 2005.

  1. Analysis, Design and Management of Multimedia Multiprocessor Systems

    Akash Kumar
    Ph.D. Thesis, submitted to Eindhoven University of Technology, The Netherlands and National University of Singapore, April 2009.
    ISBN: 978-90-386-1642-1.

     

  2. High-Throughput Reed Solomon Decoded for Ultra Wide Band (PDF)

    Akash Kumar
    In: Masters Thesis Dec 2004.
    National University of Singapore and Technical University of Eindhoven.

     

  3. Wavelength Channel Scheduling Using Fragmentation Approach in Optical Burst Switching Networks

    Akash Kumar
    In: Bachelors Thesis 2002.
    National University of Singapore.

  1. Implementing Time-Constrained Applications on a Predictable MPSoC

    Sander Stuijk, Akash Kumar, Roel Jordans and Henk Corporaal.
    In M. Qadri and S Sangwine, editors, Multicore Technology: Architecture, Reconfiguration, and Modeling
    ISBN: 978-1-439880-63-0
    CRC Press, Boca Raton, Fl, USA, 2013.
    DOI: 10.1201/b15268-4

     

  2. Multimedia Multiprocessor Systems: Analysis, Design and Management

    Akash Kumar, Henk Corporaal, Bart Mesman and Yajun Ha
    1st Edition., 2010, XVI, 163 pages, Hardcover.
    ISBN: 978-94-007-0083-3
    Springer, 2010.
    DOI: 10.1007/978-94-007-0083-3

     

     

     

     

  3. EE4214(E) Real Time Embedded Systems

    Akash Kumar

    Compiled for the course EE4214 offered in National University of Singapore from the following two books:

    1.Real Time Systems by Jane Liu, ISBN: 9780130996510.
    2.Operating Systems Principles by Lubomir Bic and Alan Shaw. ISBN: 9780131224551.
    ISBN: 978-981-06-8549-2
    Published by Pearson, 2010.

     

  4. High-Throughput and Low-Power Reed Solomon Decoded for Ultra Wide Band

    Akash Kumar and Sergei Sawitzki
    In: Wim Verhaegh, Emile Aarts, and Jan Korst(Eds.): Intelligent Algorithms, Philips Research Book Series, Vol. 7, pp. 299-316, ISBN: 1-4020-4953-6.
    Springer, 2006.
    DOI: 10.1007/1-4020-4995-1_17

     

  5. Membrane systems and distributed computing (PDF)

    G. Ciobanu, R. Desai, A. Kumar
    In: Gh.Paun, G.Rozenberg, A.Salomaa, C.Zandron (Eds.): Membrane Computing, Lecture Notes in Computer Science, Vol. 2597, pp. 187-202, ISSN: 0302-9743.
    Springer, 2003.
    DOI: 10.1007/3-540-36490-0_12

  1. Resource and Throughput Aware Execution Trace Analysis for Efficient Run-time Mapping on MPSoCs

    Amit Kumar Singh, Mohammad Shafique, Akash Kumar and Joerg Henkel
    In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD). June 2015.
    IEEE, 2015.
    DOI: 10.1109/TCAD.2015.2446938

  2. Correlation ratio based volume image registration on GPUs (PDF)

    Ang Li, Akash Kumar, Yajun Ha, Henk Corporaal
    In: Elsevier Microprocessors and Microsystems (Micpro). May 2015.
    Elsevier, 2015.
    DOI: 10.1016/j.micpro.2015.04.002

  3. Execution-Trace Driven Energy-Reliability Optimization for Multimedia MPSoCs

    Anup Das, Amit Kumar Singh and Akash Kumar
    In: ACM Transactions on Reconfigurable Technology and Systems, TRETS. Vol 8, Issue 3, May 2015.
    ACM, 2015.
    DOI: 10.1145/2665071

  4. Nano-satellite swarm for SAR applications: design and robust scheduling

    Chee Khiang Pang, Akash Kumar, Cher Hiang Goh, Cao Vinh Le
    In: IEEE Transactions onAerospace and Electronic Systems, TAES. Vol 51, Issue 2, April 2015.
    IEEE, 2015.
    DOI: 10.1109/TAES.2014.140077

  5. Autonomous Soft-error Tolerance of FPGA Configuration Bits (PDF)

    Anup Das, Shyamsundar Venkataraman and Akash Kumar
    In: ACM Transactions on Reconfigurable Technology and Systems, TRETS. Vol 8, Issue 2, April 2015.
    ACM, 2015.
    DOI: 10.1145/2629580

  6. Reliability and Energy-Aware Mapping and Scheduling of Multimedia Applications on Multiprocessor Systems

    Anup Das, Akash Kumar and Bharadwaj Veeravalli
    In: IEEE Transactions on Parallel and Distributed Systems, TPDS. March 2015.
    IEEE, 2015.
    DOI: 10.1109/TPDS.2015.2412137

  7. A Low Active Leakage and High Reliability Phase Change Memory (PCM) based Non-Volatile FPGA Storage Element (PDF)

    Huang Kejie, Ha Yajun, Zhao Rong, Akash Kumar, Lian Yong
    In: IEEE Transactions on Circuits and Systems I: Regular Papers
    IEEE, 2014.
    DOI: 10.1109/TCSI.2014.2312499

  8. Energy-Aware Task Mapping and Scheduling for Reliable Embedded Computing Systems (PDF)

    Anup Das, Akash Kumar, Bharadwaj Veeravalli
    In: ACM Transactions on Embedded Computing Systems (TECS). Vol 13, 2014, pp. 72:1-72:27, ISSN: 1539-9087.
    ACM, 2014.
    DOI: 10.1145/2544375.2544392

  9. Communication and Migration Energy Aware Task Mapping for Reliable Multiprocessor Systems (PDF)

    Anup Das, Akash Kumar, Bharadwaj Veeravalli
    In: Future Generations Computing Systems. Vol 30, 2014, pp. 216-228, ISSN: 0167-739X.
    Elsevier, 2014.
    DOI: 10.1016/j.future.2013.06.016

  10. CADSE: communication aware design space exploration for efficient run-time MPSoC management (PDF)

    Amit Kumar Singh, Akash Kumar, Jigang Wu, Thambipillai Srikanthan
    In: Frontiers of Computer Science. 2013, ISSN: 2095-2228.
    Springer, 2013.
    DOI: 10.1007/s11704-013-2196-1

  11. Project-based Learning in Embedded Systems Education Using FPGA Platform (PDF)

    Akash Kumar, Shakith Fernando and Rajesh C Panicker
    In: IEEE Transactions on Education. Vol 56, Issue 4, Nov 2013, pp. 407-415, ISSN: 0018-9359.
    IEEE, 2013.
    DOI: 10.1109/TE.2013.2246568

  12. Accelerating Throughput-aware Run-time Mapping for Heterogeneous MPSoCs (PDF)

    Amit Kumar Singh, Akash Kumar and Thambipillai Srikanthan
    In: ACM Transactions on Design Automation of Electronic Systems. Vol 18, Issue 1, Dec 2012, pp. 1-29, ISSN:1084-4309.
    ACM, 2012.
    DOI: 10.1145/2390191.2390200

  13. CA-MPSoC: An Automated Design Flow for Predictable Multi-processor Architectures for Multiple Applications (PDF)

    Ahsan Shabbir, Akash Kumar, Sander Stuijk, Bart Mesman, Henk Corporaal.
    In: Journal of Systems Architecture. Vol 56, Issue 7, July 2010, pp. 265-277, ISSN: 1383-7621.
    Elsevier, 2010.
    DOI: 10.1016/j.sysarc.2010.03.007

     

  14. Communication-aware heuristics for run-time task mapping on NoC-based MPSoC platforms (PDF)

    Amit Kumar Singh, Thambipillai Srikanthan, Akash Kumar, Wu Jigang.
    In: Journal of Systems Architecture. Vol 56, Issue 7, July 2010, pp. 242-255, ISSN: 1383-7621.
    Elsevier, 2010.
    DOI: 10.1016/j.sysarc.2010.04.007

     

  15. Iterative Probabilistic Performance Prediction for Multi-Application Multi-Processor Systems (PDF)

    Akash Kumar, Bart Mesman, Henk Corporaal and Yajun Ha
    In: IEEE Transactions in Computer Aided Design. Vol 29, Issue 4, April 2010, pp. 538-551, ISSN:0278-0070.
    IEEE, 2010.
    DOI: 10.1109/TCAD.2010.2042887

     

  16. Multi-processor Systems Synthesis for Multiple Use-Cases of Multiple Applications on FPGA (PDF)

    Akash Kumar, Shakith Fernando, Yajun Ha, Bart Mesman and Henk Corporaal
    In: ACM Transactions on Design Automation of Electronic Systems. Vol 13, Issue 3, July 2008, pp. 1-27, ISSN:1084-4309.
    ACM, 2008.
    DOI: 10.1145/1367045.1367049

     

  17. Analyzing Composability of Applications on MPSoC Platforms (PDF)

    Akash Kumar, Bart Mesman, Bart Theelen, Henk Corporaal and Yajun Ha
    In: Journal of Systems Architecture. Vol 54, Issue 3-4, March-April 2008, pp. 369-383. ISSN: 1383-7621.
    Elsevier B.V., 2008.
    DOI: 10.1016/j.sysarc.2007.10.002

     

  18. Efficient techniques for improved QoS performance in WDM optical burst switched networks (PDF)

    G. Mohan, K. Akash and M. Ashish
    In: Computer Communications, Vol. 28, Issue 7, 2 May 2005, pp. 754-764. ISSN: 0140-3664.
    Elsevier B.V., 2005.
    DOI: 10.1016/j.comcom.2004.10.007

    2015

  1. Adaptive and Transparent Cache Bypassing for GPUs

    Ang Li, Gert-Jan van den Braak, Akash Kumar and Henk Corporaal
    In: International Conference for High Performance Computing, Networking, Storage and Analysis (SC ’15)
    Austin, TX, USA. IEEE.

  2. Best Paper Candidate

    Best Student Paper Candidate

  3. Generic Scrubbing-based Architecture for Custom Error Correction Algorithms

    Rui Santos, Shyamsundar Venkataraman and Akash Kumar
    In: Proceedings of the IEEE International Symposium on Rapid System Prototyping (RSP), Embedded Systems Week, 5-9 Oct 2015
    Amsterdam. IEEE.

  4. ParaLaR: A Parallel FPGA Router Based on Lagrangian Relaxation

    Hoo Chin Hau, Akash Kumar and Yajun Ha
    In: Proceedings of International Conference on Field Programmable Logic and Applications (FPL), 2-4 Sep 2015
    London, UK. IEEE.

  5. An Automated Technique to Generate Relocatable Partial Bitstreams for Xilinx FPGAs

    Roel Oomen, Tuan Nguyen, Akash Kumar and Henk Corporaal
    In: Proceedings of International Conference on Field Programmable Logic and Applications (FPL), 2-4 Sep 2015
    London, UK. IEEE.

  6. Transit: A Visual Analytical Model for Multithreaded Machine (PDF)

    Ang Li, Akash Kumar, Y.C. Tay and Henk Corporaal
    In: Proceedings of International Symposium on High Performance Distributed Computing (HPDC), 15-19 June 2015
    Portland, USA. IEEE.

  7. Fine-Grained Synchronizations and Dataflow Programming on GPUs (PDF)

    Ang Li, Gert-Jan Van Den Braak, Akash Kumar and Henk Corporaal
    In: Proceedings of International Conference on Supercomputing (ICS), 8-11 June 2015
    Newport Beach, USA. IEEE.

  8. Dynamically Adaptive Scrubbing Mechanism for Improved Reliability in Reconfigurable Embedded Systems (PDF)

    Rui Santos, Shyamsundar Venkatraman, Akash Kumar
    In: Proceedings of Design Automation Conference (DAC), 7-11 June 2015
    San Francisco, USA. IEEE.

  9. Workload Uncertainty Characterization and Adaptive Frequency Scaling for Energy Minimization of Embedded Systems (PDF)

    Anup Das, Akash Kumar, Bharadwaj Veeravalli, Rishad Shafik, Geoff Merrett and Bashir Al-Hashimi
    In: Proceedings of Design Automation and Test in Europe (DATE), 9-13 Mar 2015
    Grenoble, France. IEEE.

    Best Paper Candidate

  10. Designing Inexact Systems Efficiently Using Elimination Heuristics (PDF)

    Shyamsundar Venkatraman, Akash Kumar, Jeremy Schlachter, Christian Enz
    In: Proceedings of Design Automation and Test in Europe (DATE), 9-13 Mar 2015
    Grenoble, France. IEEE.

  11. Exploiting Loop-Array Dependencies to Accelerate the Design Space Exploration with High Level Synthesis (PDF)

    Nam Khanh Pham, Amit Kumar Singh, Akash Kumar and Mi Mi Aung Khin
    In: Proceedings of Design Automation and Test in Europe (DATE), 9-13 Mar 2015
    Grenoble, France. IEEE.

  12. Dynamic Reconfigurable Puncturing for Secure Wireless Communication (PDF)

    Liang Tang, Jude Angelo Ambrose, Akash Kumar and Sri Parameswaran
    In: Proceedings of Design Automation and Test in Europe (DATE), 9-13 Mar 2015
    Grenoble, France. IEEE.

  13. (AS)^2: Accelerator Synthesis using Algorithmic Skeletons for Rapid Design Space Exploration (PDF)

    Shakith Fernando, Mark Wijtvliet, Cedric Nugteren, Akash Kumar and Henk Corporaal
    In: Proceedings of Design Automation and Test in Europe (DATE), 9-13 Mar 2015
    Grenoble, France. IEEE.

  14. Accelerating Non-volatile/Hybrid Processor Cache Design Space Exploration for Application Specific Embedded Systems (PDF)

    Mohammad Shihabul Haque, Ang Li, Qingsong Wei and Akash Kuma
    In: Proceedings of the 20th IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 19-22 Jan 2015
    Tokyo, Japan. IEEE.

  15. 2014

  16. A Heterogeneous Platform with GPU and FPGA for Power Efficient High Performance Computing (PDF)

    Wu Qiang, Yajun Ha, Akash Kumar, Luo Shaobo and Mohammad Shihabul Haque
    In: Proceedings of the IEEE International Symposium on Integrated Circuits (ISIC), 10-12 Dec 2014
    Singapore. IEEE.

  17. Design and Robust Scheduling of Nano-Satellite Swarm for Synthetic Aperture Radar Applications (PDF)

    Chee Khiang Pang, Akash Kumar, Cher Hiang Goh, and C. Vincent Le
    In: Proceedings of the 2014 IEEE ICARCV, December 10-12, 2014 (invited)
    Singapore. IEEE.

  18. Lightweight Bare-metal Stateful Firewall (PDF)

    Yihuan Xing, Ford Long Wong, Akash Kumar
    In: Proceedings of the IEEE Pacific Rim International Symposium on Dependable Computing (PRDC 2014), 19-21 Nov 2014
    Singapore. IEEE.

  19. A Multi-stage Thermal Management Strategy for 3D Multicores (PDF)

    Dipika Suresh, Amit Singh and Akash Kumar
    In: Proceedings of the IEEE International Symposium on Rapid System Prototyping (RSP), Embedded Systems Week, 12-17 Oct 2014
    New Delhi, India. IEEE.

  20. Artificial Intelligence Based Task Mapping and Pipelined Scheduling for Checkpointing on Real Time Systems with Imperfect Fault Detection (PDF)

    Anup Das, Akash Kumar and Bharadwaj Veeravalli
    In: Proceedings of the IEEE Symp. Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), 1-3 Oct 2014
    Amsterdam, The Netherlands. IEEE.

  21. PR-HMPSoC: a Versatile Partially Reconfigurable Heterogeneous Multiprocessor System-on-Chip for Dynamic FPGA-based Embedded Systems (PDF)

    Tuan D. A. Nguyen and Akash Kumar
    In: Proceedings of International Conference on Field Programmable Logic and Applications (FPL), 2-4 Sep 2014
    Munich, Germany. IEEE.

    Best Paper Candidate

  22. Criticality-aware Scrubbing Mechanism for SRAM-based FPGAs (PDF)

    Rui Santos, Shyamsundar Venkataraman, Anup Das and Akash Kumar
    In: Proceedings of International Conference on Field Programmable Logic and Applications (FPL), 2-4 Sep 2014
    Munich, Germany. IEEE.

  23. Multi-Directional Error Correction Schemes for SRAM-Based FPGAs (PDF)

    Shyamsundar Venkataraman, Rui Santos, Sidharth Maheshwari and Akash Kumar
    In: Proceedings of International Conference on Field Programmable Logic and Applications (FPL), 2-4 Sep 2014
    Munich, Germany. IEEE.

  24. A Bit-Interleaved Embedded Hamming Scheme to Correct Single-Bit and Multi-Bit Upsets for SRAM-Based FPGAs (PDF)

    Shyamsundar Venkataraman, Rui Santos, Anup Das and Akash Kumar
    In: Proceedings of International Conference on Field Programmable Logic and Applications (FPL), 2-4 Sep 2014
    Munich, Germany. IEEE.

  25. Leakage and Performance Aware Resource Management for 2D Dynamically Reconfigurable FPGA Architectures (PDF)

    Siqi Wang, Nam Khanh Pham, Amit Kumar Singh and Akash Kumar
    In: Proceedings of International Conference on Field Programmable Logic and Applications (FPL), 2-4 Sep 2014
    Munich, Germany. IEEE.

  26. Accelerating Volume Image Registration through Correlation Ratio based Methods on GPUs (PDF)

    Ang Li and Akash Kumar
    In: Proceedings of International Conference on Digital Systems Design (DSD), 27-29 Aug 2014
    Verona, Italy. IEEE.

  27. Reinforcement Learning-Based Inter- and Intra-Application Thermal Optimization for Lifetime Improvement of Multicore Systems (PDF)

    Anup Das, Rishad A. Shafik, Geoff V. Merrett, Bashir M. Al-Hashimi, Akash Kumar and Bharadwaj Veeravalli
    In: Proceedings of Design Automation Conference (DAC), 1-5 Jun 2014.
    San Francisco, USA. IEEE.

  28. A Multi-stage Leakage Aware Resource Management technique for Reconfigurable Architectures (PDF)

    Nam Khanh Pham, Amit Kumar Singh, and Akash Kumar
    In: Proceedings of Great Lakes Symposium on VLSI (GLSVLSI), 21-23 May 2014,
    Houston, USA. IEEE.

    Best Paper Candidate

  29. Temperature Aware Energy-Reliability Trade-offs for Mapping of Throughput-Constrained Applications on Multimedia Multiprocessor Systems (PDF)

    Anup Das, Akash Kumar and Bharadwaj Veeravalli
    In: Proceedings of Design Automation and Test in Europe (DATE), 24-28 Mar 2014
    Dresden, Germany. IEEE.

  30. Combined DVFS and Mapping Exploration for Lifetime and Soft-Error Susceptibility Improvement in MPSoCs (PDF)

    Anup Das, Akash Kumar and Bharadwaj Veeravalli, Cristiana Bolchini and Antonio Miele
    In: Proceedings of Design Automation and Test in Europe (DATE), 24-28 Mar 2014
    Dresden, Germany. IEEE.

  31. 2013

  32. Real-time and Low Power Embedded L1-Optimization Solver Design (PDF)

    Zhi Ping Ang and Akash Kumar
    In: Proceedings of the International Conference on Field-Programmable Technology, (FPT), 9-11 Dec 2013.
    Kyoto, Japan. IEEE.

  33. Run-time mapping for reliable many-cores based on energy/performance trade-offs (PDF ~338 kB)

    Cristiana Bolchini, Matteo Carminati, Antonio Miele, Anup Das, Akash Kumar and Bharadwaj Veeravalli
    In: Proceedings of the 16th IEEE Symp. Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), 2-4 Oct 2013
    New York City, USA. IEEE.

  34. Thermal-Aware Mapping of Streaming Applications on 3D Multi-Processor Systems (PDF)

    Marco Cox, Amit Kumar Singh, Akash Kumar and Henk Corporaal
    In: Proceedings of the IEEE/ACM Symposium on Embedded Systems for Real-Time Multimedia (ESTIMedia), Embedded Systems Week, 29 Sep-4 Oct 2013
    Montreal, Canada. IEEE.

  35. MAMPSx: A Design Framework for Rapid Synthesis of Predictable Heterogeneous MPSoCs (PDF)

    Shaktih Fernando, Firew Siyoum, Yifan He, Akash Kumar and Henk Corporaal
    In: Proceedings of the 24rth IEEE International Symposium on Rapid System Prototyping (RSP), Embedded Systems Week, 29 Sep-4 Oct 2013
    Montreal, Canada. IEEE.

  36. Aging-aware Hardware-Software Task Partitioning for Reliable Reconfigurable Multiprocessor Systems (PDF)

    Anup Das, Akash Kumar and Bharadwaj Veeravalli
    In: Proceedings of the International Conference on Compilers Architectures and Synthesis of Embedded Systems (CASES), Embedded Systems Week, 29 Sep-4 Oct 2013.
    Montreal, Canada. ACM/IEEE.

  37. RAPIDITAS: RAPId Design-space-exploration Incorporating Trace-based Analysis and Simulation (PDF)

    Amit Kumar Singh, Anup Das and Akash Kumar
    In: Proceedings of international conference on digital systems design (DSD), 4-6 Sep 2013
    Santander, Spain. IEEE.

  38. Incorporating Energy and Throughput Awareness in Design Space Exploration and Run-time Mapping for Heterogeneous MPSoCs (PDF)

    Nam Khanh Pham, Amit Kumar Singh, Akash Kumar and Mi Mi Aung Khin
    In: Proceedings of international conference on digital systems design (DSD), 4-6 Sep 2013
    Santander, Spain. IEEE.

  39. Improving Autonomous Soft-error Tolerance of FPGA through LUT Configuration Bit Manipulation (PDF)

    Anup Das, Shyamsundar Venkataraman and Akash Kumar
    In: Proceedings of international conference on field programmable logic and applications (FPL), 2-4 Sep 2013
    Porto, Portugal. IEEE.

  40. A Directional Coarse-Grained Power Gated FPGA Switch Box and Power Gating Aware Routing Algorithm (PDF)

    Chin Hau Hoo, Yajun Ha and Akash Kumar
    In: Proceedings of international conference on field programmable logic and applications (FPL), 2-4 Sep 2013
    Porto, Portugal. IEEE.

  41. MAMPSX: A demonstration of rapid, predictable HMPSOC synthesis (PDF kB)

    Shakith Fernando, Mark Wijtvliet, Firew Siyoum, Yifan He, Sander Stuijk, Akash Kumar, Henk Corporaal
    In: Proceedings of international conference on field programmable logic and applications (FPL), 2-4 Sep 2013
    Porto, Portugal. IEEE.

  42. Enhancing VHDL Learning through a Light-weight Integrated Environment for Development and Automated Checking (PDF)

    Akash Kumar, Rajesh C Panicker and Ashraf Kassim
    In: Proceedings of IEEE International Conference on Teaching, Assessment and Learning for Engineering (TALE), 26-29 Aug 2013
    Bali, Indonesia. IEEE.

  43. Energy-Aware Dynamic Reconfiguration of Communication-Centric Applications for Reliable MPSoCs (PDF)

    Anup Das, Amit Kumar Singh and Akash Kumar
    In: Proceedings of 8th International Workshop on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), 10-12 Jul 2013
    Darmstadt, Germany. IEEE.

  44. Mapping on Multi/Many-Core Systems: Survey of Current and Emerging Trends (PDF)

    Amit Singh, Muhammad Shafique, Akash Kumar, Jörg Henkel
    In: Proceedings of Design Automation Conference (DAC), 2-6 Jun 2013
    Austin, USA. IEEE.

  45. Energy Optimization by Exploiting Execution Slacks in Streaming Applications on Multiprocessor Systems (PDF)

    Amit Singh, Anup Kumar Das, Akash Kumar
    In: Proceedings of Design Automation Conference (DAC), 2-6 Jun 2013
    Austin, USA. IEEE.

  46. High Speed Video Processing Using Fine-Grained Processing on FPGA Platform (PDF)

    Ang Zhi Ping, Akash Kumar and Yajun Ha
    In: 21st IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM), 28-30 Apr 2013
    Seattle, Washington, USA. IEEE. The extended version is also available.

  47. Reliability-Driven Task Mapping for Lifetime Extension of NoC-based Multiprocessor Systems (PDF)

    Anup Das, Akash Kumar and Bharadwaj Veeravalli
    In: Proceedings of Design Automation and Test in Europe (DATE), 18-22 Mar 2013
    Grenoble, France. IEEE.

  48. Communication and Migration Energy Aware Design Space Exploration for Multicore Systems with Intermittent Faults (PDF)

    Anup Das, Akash Kumar and Bharadwaj Veeravalli
    In: Proceedings of Design Automation and Test in Europe (DATE), 18-22 Mar 2013
    Grenoble, France. IEEE.

  49. TRISHUL: A Single-pass Optimal Two-level Inclusive Data Cache Hierarchy Selection Process for Real-time MPSoCs (PDF)

    Mohammad Shihabul Haque, Akash Kumar, Yajun Ha, Wu Qiang and Luo Shaobo
    In: Proceedings of the 18th IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 22-25 Jan 2013
    Yokohama, Japan. IEEE.

  50. 2012

  51. Energy-Aware Communication and Remapping of Tasks for Reliable Multimedia Multiprocessor Systems (PDF)

    Anup Das, Akash Kumar and Bharadwaj Veeravalli
    In: Proceedings of the 18th IEEE International Conference on Parallel and Distributed Systems (ICPADS), 17-19 Dec 2012
    Singapore. IEEE.

  52. Fault-Aware Task Re-Mapping for Throughput Constrained Multimedia Applications on NoC-based MPSoC (PDF 621kB)

    Anup Das and Akash Kumar
    In: Proceedings of the 23rd IEEE International Symposium on Rapid System Prototyping (RSP), 11-12 Oct 2012
    Tampere, Finland. IEEE.

  53. A Design Flow for Partially Reconfigurable Heterogeneous Multi-Processor Platforms (PDF 422kB)

    Li Jiashu, Anup Das and Akash Kumar
    In: Proceedings of the 23rd IEEE International Symposium on Rapid System Prototyping (RSP), 11-12 Oct 2012
    Tampere, Finland. IEEE.

  54. Minimizing Power Consumption of Spatial Division based Networks-on-Chip Using Multi-Path and Frequency Reduction (PDF 685kB)

    Sheng Hao Wang, Anup Das, Akash Kumar and Henk Corporaal
    In: Proceedings of the 15th Euromicro Conference on Digital Systems Design (DSD), 5-8 Sep 2012
    Izmir, Turkey. IEEE.

  55. An Area-efficient Partially Reconfigurable Crossbar Switch with Low Reconfiguration Delay (PDF 954kB)

    Hoo Chin Hau and Akash Kumar
    In: Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 29-31 Aug 2012.
    Oslo, Norway. IEEE.

  56. Acceleration of Distance-to-Default with Software-Hardware Co-design (PDF 188kB)

    Izaan Allugundu, Pranay Puranik, Yat Piu Lo and Akash Kumar
    In: Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 29-31 Aug 2012.
    Oslo, Norway. IEEE.

  57. Development of a Real-Time FPGA-Based P300 Brain Computer Interface Speller Application (PDF 98kB)

    Kanav Khurana, Pooja Gupta, Rajesh Panicker and Akash Kumar
    In: Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 29-31 Aug 2012.
    Oslo, Norway. IEEE.

  58. Fault-Tolerant Network Interface for Spatial Division Multiplexing Based Network-on-Chip (PDF 870kB)

    Anup Das, Akash Kumar and Bharadwaj Veeravalli
    In: Proceedings of the 7th International Workshop on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), 9-11 Jul 2012.
    York, United Kingdom. IEEE.

  59. 2011

  60. Communication-Aware Design Space Exploration for Efficient Run-Time MPSoC Management (PDF 238kB)

    Amit Kumar Singh, Akash Kumar, Wu Jigang and Thambipillai Srikanthan.
    In: Proceedings of the Fourth International Symposium on Parallel Architectures, Algorithms and Programming (PAAP), 9-11 Dec 2011.
    Tianjin, China. IEEE.

    DOI: 10.1109/PAAP.2011.18

  61. Bringing Soccer to the Field of Real-Time Embedded Systems Education (PDF)

    Akash Kumar, Shakith Fernando and Manmohan Manoharan
    In: Workshop in Embedded Systems Education (WESE), Embedded Systems Week, 9-14 Oct 2011.
    Taipei, 2011. ACM/IEEE.

    DOI: 10.1145/2077370.2077377

  62. A Hybrid Strategy for Mapping Multiple Throughput-constrained Applications on MPSoCs (PDF)

    Amit Kumar Singh, Akash Kumar and Thambipillai Srikanthan.
    In: Proceedings of the International Conference on Compilers Architectures and Synthesis of Embedded Systems (CASES), Embedded Systems Week, 9-14 Oct 2011.
    Taipei, 2011. ACM/IEEE.

    DOI: 10.1145/2038698.2038726

  63. Distributed Resource Management for Concurrent Execution of Multimedia Applications on MPSoC Platforms (PDF)

    Ahsan Shabbir, Akash Kumar, Bart Mesman and Henk Corporaal.
    In: Proceedings of the International Symposium on Systems, Architectures, MOdeling and Simulation (SAMOS), 18-21 Jul 2011.
    Samos, Greece, 2011. IEEE.

    DOI: 10.1109/SAMOS.2011.6045454

  64. A Design Space Exploration Methodology for Application Specific MPSoC Design (PDF)

    Amit Kumar Singh, Akash Kumar and Thambipillai Srikanthan.
    In: Proceedings of the Annual Symposium on VLSI (ISVLSI), 4-6 Jul 2011.
    Chennai, 2011. IEEE.

    DOI: 10.1109/ISVLSI.2011.44

  65. An MPSoC Design Approach for Multiple Use-cases of Throughput Constrained Applications (PDF)

    Ahsan Shabbir, Sander Stuijk, Akash Kumar, Bart Mesman and Henk Corporaal.
    In: Proceedings of the ACM Computing Frontiers, 3-6 May 2011.
    Ischia, Italy, 2011. ACM.

    DOI: 10.1145/2016604.2016628

  66. An Automated Flow to Map Throughput Constrained Applications to a MPSoC (PDF)

    An Automated Flow to Map Throughput Constrained Applications to a MPSoC (PDF)
    Roel Jordans, Firew Siyoum, Sander Stuijk, Akash Kumar and Henk Corporaal.
    In: Workshop on Predictability and Performance in Embedded Systems, 18 March 2011.
    Grenoble, France, 2011. Schloss Dagstuhl--Leibniz-Zentrum fuer Informatik.
    Published in: Bringing Theory to Practice: Predictability and Performance in Embedded Systems in OpenAccess Series in Informatics (OASIcs)
    Vol. 18, pp. 47-58, ISBN: 978-3-939897-28-6.
    DOI: 10.4230/OASIcs.PPES.2011.47

     

  67. 2010 and Earlier

  68. An Area-efficient Dynamically Reconfigurable Spatial Division Multiplexing Network-on-Chip with Static Throughput Guarantee (PDF)

    Zhiyao Joseph Yang, Akash Kumar and Yajun Ha.
    In: Proceedings of the International Conference on Field-Programmable Technology, 8-10 Dec 2010.
    Beijing, 2010. IEEE.

     

  69. Mapping Real-life Applications on Run-time Reconfigurable NoC-based MPSoC on FPGA (PDF)

    Amit Kumar Singh, Akash Kumar, Thambipillai Srikanthan, and Yajun Ha.
    In: Proceedings of the International Conference on Field-Programmable Technology, 8-10 Dec 2010.
    Beijing, 2010. IEEE.

     

  70. Run-time Mapping of Multiple Communicating Tasks on MPSoC Platforms (PDF)

    Amit Kumar Singh, Wu Jigang, Akash Kumar, Thambipillai Srikanthan.
    In: Proceedings of the International Conference on Computational Science, May-June 2010.
    Amsterdam, 2010. Elsevier.

     

  71. A Predictable Communication Assist (PDF ~293 kB)

    Ahsan Shabbir, Sander Stuijk, Akash Kumar, Bart Theelen, Bart Mesman, Henk Corporaal.
    In: Proceedings of the ACM Computing Frontiers, May 2010, pp. 97-98. ISBN: 978-1-4503-0044-5.
    Italy, 2010. ACM.

     

  72. Performance Evaluation of Concurrently Executing Parallel Applications on Multi-Processor Systems (PDF)

    Ahsan Shabbir, Akash Kumar, Bart Mesman, and Henk Corporaal.
    In: Proceedings of the International Symposium on Systems, Architectures, MOdeling and Simulation (SAMOS 09), July 2009, pp. 100-107. ISBN: 978-1-4244-4502-8.
    Samos, Greece, 2009. IEEE.

     

  73. Enabling MPSoC Design Space Exploration on FPGAs

    Ahsan Shabbir, Akash Kumar, Bart Mesman and Henk Corporaal
    In: International Multi-topic Conference (IMTIC), 11-12 Apr 2008, Jamshoro, Pakistan.
    Published in: D.M.A. Hussain, A.Q.K. Rajput, B.S. Chowdhry and Q. Gee (Eds): Wireless Networks, Information Processing and Systems, Communications in Computer and Information Science Series.
    Vol. 20, pp. 412-421, ISBN: 978-3-540-89852-8.
    Springer, 2009.
    DOI: 10.1007/978-3-540-89853-5_44

     

  74. Vectorization of Reed Solomon Decoding and Mapping on the EVP (PDF)

    Akash Kumar and Kees van Berkel
    Proceedings of Design Automation and Test in Europe, Mar 2008, pp. 450-455. ISBN: 978-3-9810801-3-1.
    Munich, Germany, 2008. IEEE Computer Society.

     

  75. Multi-processor System-level Synthesis for Multiple Applications on Platform FPGA (PDF ~157 kB)

    Akash Kumar, Shakith Fernando, Yajun Ha, Bart Mesman, and Henk Corporaal
    Proceedings of Field Programmable Logic (FPL) Conference, Aug 2007, pp. 92-97. ISBN: 1-4244-1060-6
    Amsterdam, The Netherlands, 2007. IEEE Circuit and Systems Society.

     

  76. A Probabilistic Approach to Model Resource Contention for Performance Estimation of Multi-featured Media Devices (PDF)

    Akash Kumar, Bart Mesman, Bart Theelen, Henk Corporaal and Yajun Ha
    Proceedings of Design Automation Conference, Jun 2007, pp. 726-731. ISBN: 978-1-59593-627-1.
    San Diego, USA, 2007. IEEE Computer Society.

     

  77. An FPGA Design Flow for Reconfigurable Network-Based Multi-Processor Systems-on-Chip (PDF)

    Akash Kumar, Andreas Hansson, Jos Huisken and Henk Corporaal
    Proceedings of Design Automation and Test in Europe, Apr 2007, pp. 117-122. ISBN: 978-3-9810801-2-4.
    Nice, France, 2007. IEEE Computer Society.

     

  78. Resource Manager for Non-preemptive Heterogeneous Multiprocessor System-on-chip (PDF)

    Akash Kumar, Bart Mesman, Bart Theelen, Henk Corporaal and Yajun Ha
    In: Proceedings of the 4th Workshop on Embedded Systems for Real-Time Multimedia, Oct 2006, pp. 33-38. ISBN: 0-7803-9783-5.
    Seoul, Korea, 2006. IEEE Computer Society.

     

  79. Global Analysis of Resource Arbitration for MPSoC (PDF)

    Akash Kumar, Bart Mesman, Henk Corporaal, Jef van Meerbergen and Yajun Ha
    In: Proceedings of the 9th Euromicro Conference on Digital Systems Design, Aug 2006, pp. 71-78. ISBN: 0-7695-2609-8.
    Dubrovnik, Croatia, 2006. IEEE Computer Society.

     

  80. On Composability of MPSoC Applications (PDF)

    Akash Kumar, Bart Theelen, Bart Mesman and Henk Corporaal
    In: Advanced Computer Architecture and Compilation for Embedded Systems (ACACES), Jul 2006, pp. 149-152, ISBN: 90-382-0981-9
    L'Aquila, Italy, 2006.

     

  81. Reconfigurable Multi-Processor Network-on-Chip on FPGA(PDF)

    Akash Kumar, Ido Ovadia, Jos Huisken, Henk Corporaal, Jef van Meerbergen and Yajun Ha
    In: Proceedings of 12th Annual Conference of the Advanced School for Computing and Imaging, Jun 2006, pp. 313-317, ISBN: 90-810-8491-7.
    Lommel, Belgium, 2006.

     

  82. High-Throughput and Low-Power Architectures for Reed Solomon Decoder (PDF)

    Akash Kumar and Sergei Sawitzki
    Proceedings of the 39th Asilomar Conference on Signals, Systems, and Computers, Oct 2005, pp. 990-994. ISBN: 1-4244-0132-1.
    Pacific Grove, U.S.A., 2005. IEEE Circuit and Systems Society.

     

  83. High-Throughput and Low-Power Reed Solomon Decoded for Ultra Wide Band (PDF)

    Akash Kumar and Sergei Sawitzki
    In: Proceedings of Philips Symposium on Intelligent Algorithms Dec 2004.
    Philips High Tech Campus, Eindhoven, 2004.

     

  84. Burst Scheduling Based on Time-slotting and Fragmentation in WDM Optical Burst Switched Networks (PDF)

    G. Mohan, M. Ashish, and K. Akash
    In: Proceedings of IASTED International Conference on Wireless and Optical Communications WOC, July 2002, pp. 351-355.
    Banff, Canada.

     

  85. Membrane Systems and Distributed Computing

    Gabriel Ciobanu, Rahul Desai and Akash Kumar
    In: Workshop on Membrane Computing, 2002
    Romania.

  1. Accurate Run-time Performance Prediction for Multi-Application Multi-Processor Systems. (PDF)

    Akash Kumar, Bart Mesman, Henk Corporaal, and Yajun Ha.
    In: ES Report ESR-2008-07. June 16, 2008.
    Eindhoven University of Technology.

     

  2. A Probabilistic Approach to Model Resource Contention for Performance Estimation of Multi-featured Media Devices. (PDF)

    Akash Kumar, Bart Mesman, Henk Corporaal, Bart Theelen and Yajun Ha.
    In: ES Report ESR-2007-02. Mar 25, 2007.
    Eindhoven University of Technology.

     

  3. On Composability of MPSoC Applications (PDF)

    Akash Kumar, Bart Theelen, Bart Mesman and Henk Corporaal
    In: Architecture and Compilers for Embedded Systems Oct 2006, pp. 110-113, ISBN: 90-382-1016-7.
    Edegem, Belgium, 2006.